SULJE VALIKKO

avaa valikko

Per Stenström | Akateeminen Kirjakauppa

Haullasi löytyi yhteensä 13 tuotetta
Haluatko tarkentaa hakukriteerejä?



Transactions on High-Performance Embedded Architectures and Compilers II
Tekijä: Per Stenström (ed.); David Whalley (ed.)
Kustantaja: Springer (2009)
Saatavuus: Noin 17-20 arkipäivää
EUR   49,60
High Performance Embedded Architectures and Compilers : Third International Conference, HiPEAC 2008, Göteborg, Sweden, January 2
Tekijä: Per Stenström (ed.); Michel Dubois (ed.); Manolis Katevenis (ed.); Rajiv Gupta (ed.); Theo Ungerer (ed.)
Kustantaja: Springer (2008)
Saatavuus: Noin 17-20 arkipäivää
EUR   49,60
Lunds universitets kokbok
Tekijä: Per Lindström (fotog.); Johan Stenström; Nils-Bertil Hansson
Kustantaja: Bokförlaget Atlantis (2011)
Saatavuus: Loppuunmyyty.
EUR   35,90
Transactions on High-Performance Embedded Architectures and Compilers IV
Tekijä: Stenström; Per (ed.)
Kustantaja: Springer (2011)
Saatavuus: Noin 17-20 arkipäivää
EUR   49,60
Parallel Computer Organization and Design
Tekijä: Michel Dubois; Murali Annavaram; Per Stenström
Kustantaja: Cambridge University Press (2012)
Saatavuus: | Arvioimme, että tuote lähetetään meiltä noin 1-3 viikossa
EUR   107,40
Bland tomtar och troll, årg 111
Tekijä: Per Nilsson; Beth Birkenhain; Inger Edelfeldt; Peter Madsen; Johanna Nilsson; Ylva Karlsson; Walter Stenström
Kustantaja: Bokförlaget Semic (2018)
Saatavuus: Loppuunmyyty.
EUR   11,80
High Performance Embedded Architectures and Compilers : Second International Conference, HiPEAC 2007, Ghent, Belgium, January 28
Tekijä: Koen De Bosschere (ed.); David Kaeli (ed.); Per Stenström (ed.); David Whalley (ed.); Theo Ungerer (ed.)
Kustantaja: Springer (2007)
Saatavuus: Noin 17-20 arkipäivää
EUR   49,60
Gotlands nationalbeväring och regemente (Bd 1-2)
Tekijä: Bengt Hammarhjelm; Lars Ericson Wolke; Peter Molin; Per-Åke Wallin; Robert Knutas; Nils-Åke Stenström; Ejnar Berg
Kustantaja: Probus förlag HB (2005)
Saatavuus: Loppuunmyyty.
EUR   32,00
Transactions on High-Performance Embedded Architectures and Compilers III
Tekijä: Stenström; Per (ed.)
Kustantaja: Springer (2011)
Saatavuus: Noin 17-20 arkipäivää
EUR   49,60
Bibeln, gränser
Tekijä: Halla Sigurdardóttir; Magnus Myrberg; John Sjögren; Per Klingberg; Petra Carlsson; Björn Svärd; Anders Stenström
Kustantaja: Evangelium förlag (2014)
Saatavuus: Loppuunmyyty.
EUR   9,80
A Primer on Compression in the Memory Hierarchy
Tekijä: Somayeh Sardashti; Angelos Arelakis; Per Stenström
Kustantaja: MORGAN&CLAYPOOL (2015)
Saatavuus: | Arvioimme, että tuote lähetetään meiltä noin 1-3 viikossa
EUR   50,90
Advanced Parallel Processing Technologies : 13th International Symposium, APPT 2019, Tianjin, China, August 15–16, 2019, Proceed
Tekijä: Pen-Chung Yew (ed.); Per Stenström (ed.); Junjie Wu (ed.); Xiaoli Gong (ed.); Tao Li (ed.)
Kustantaja: Springer (2019)
Saatavuus: Noin 17-20 arkipäivää
EUR   49,60
A Primer on Compression in the Memory Hierarchy
Tekijä: Somayeh Sardashti; Angelos Arelakis; Per Stenström; David A. Wood
Kustantaja: Springer (2015)
Saatavuus: Noin 17-20 arkipäivää
EUR   28,40
    
Transactions on High-Performance Embedded Architectures and Compilers II
49,60 €
Springer
Sivumäärä: 327 sivua
Asu: Pehmeäkantinen kirja
Painos: 2009
Julkaisuvuosi: 2009, 22.04.2009 (lisätietoa)
Kieli: Englanti
1 2 Per Stenstro ..m and David Whalley 1 Chalmers University of Technology, Sweden 2 Florida State University, U.S.A. In January2007,the secondedition in the series of International Conferenceson High-Performance Embedded Architectures andCompilers (HiPEAC'2007)was held in Ghent,Belgium.We were fortunate to attract around70 submissions of whichonly19wereselected forpresentation.Amongthese,weaskedtheauthors ofthe?vemost highly rated contributionsto make extended versions ofthem. They all accepted to do that andtheirarticles appear in this section ofthe second volume. The?rstarticlebyKeramidas,Xekalakis,andKaxirasfocusesontheincreased power consumption in set-associativecaches.They presenta novel approach to reduce dynamicpower that leverages on the previously proposed cache decay approach that has been shown to reduce static (or leakage) power. In the secondarticlebyMagarajan,Gupta,andKrishnaswamythe focus ison techniques to encrypt data in memory to preservedata integrity. The problem with previous techniques is that the decryption latency ends up on the critical memory access path. Especially in embedded processors,caches are small and it isdi?cultto hide the decryption latency.
The authors propose a compiler-based strategy that manages to reduce the impact of the decryption time signi?cantly. The thirdarticlebyKluyskensandEeckhoutfocusesondetailedarchitectural simulation techniques.It is well-known that they are ine?cientandaremedy to the problem isto use sampling.When usingsampling,onehastowarm up memory structures such as caches andbranch predictors.Thispaper introduces a noveltechnique calledBranchHistoryMatchingfore?cient warmupofbranch predictors. The fourth articlebyBhadauria,McKee,Singh, and Tyson focuses on static power consumptioninlarge caches.Theyintroduce a reuse-distance drowsy cache mechanism that issimpleas well as e?ective in reducingthestaticpower in caches.

Tuotetta lisätty
ostoskoriin kpl
Siirry koriin
LISÄÄ OSTOSKORIIN
Tilaustuote | Arvioimme, että tuote lähetetään meiltä noin 17-20 arkipäivässä
Myymäläsaatavuus
Helsinki
Tapiola
Turku
Tampere
Transactions on High-Performance Embedded Architectures and Compilers IIzoom
Näytä kaikki tuotetiedot
Sisäänkirjautuminen
Kirjaudu sisään
Rekisteröityminen
Oma tili
Omat tiedot
Omat tilaukset
Omat laskut
Lisätietoja
Asiakaspalvelu
Tietoa verkkokaupasta
Toimitusehdot
Tietosuojaseloste